倍可親

回復: 2
列印 上一主題 下一主題

國內刻蝕機供應商崛起有望

[複製鏈接]

8181

主題

1萬

帖子

2萬

積分

貝殼光明大使

Rank: 6Rank: 6

積分
26631
跳轉到指定樓層
樓主
小康人家 發表於 2018-10-8 02:12 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
  2018-10-07 22:38:22字型大小:A- A A+來源:半導體行業觀察

  來源:內容綜合自小蔥看電子,作者 國君電子王聰/張天聞,謝謝。

  晶圓製造是半導體生產的必要環節,它是指利用二氧化硅作為原材料製作單晶硅矽片的過程。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  刻蝕是晶圓製造的關鍵步驟,刻蝕技術高低直接決定了晶元製程的大小,並且在成本上僅次於光刻,近年來隨著3D NAND技術的不斷興起,刻蝕的重要性也愈發上升。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  立足刻蝕重要參數,干法刻蝕大勢所趨

  刻蝕是用化學或物理方法對襯底表面或表面覆蓋薄膜進行選擇性腐蝕或剝離的過程,進而形成光刻定義的電路圖形。刻蝕的基本目標是在塗膠的矽片上正確的複製掩模圖形。有圖形的光刻膠層在刻蝕中不受到腐蝕源顯著的侵蝕。這層掩蔽膜用來在刻蝕中保護矽片上的特殊區域而選擇性地刻蝕掉未被光刻膠保護的區域。在通常的CMOS工藝流程中,刻蝕都是在光刻工藝之後進行的。從這一點來看,刻蝕可以看成在矽片上複製所想要圖形的最後主要圖形轉移工藝步驟。

  

  刻蝕分為兩種:干法刻蝕和濕法刻蝕。在濕法刻蝕中,液體化學試劑以化學方式(如酸、鹼和溶劑等)去除矽片表面的材料。干法刻蝕是把矽片表面暴露於氣態中產生的等離子體,等離子體通過光刻膠中開出的窗口,與矽片發生物理或化學反應(或這兩種反應),從而去掉暴露的表面材料。濕法刻蝕由於需要大量對人體和環境有害的腐蝕性化學試劑,目前在大規模集成電路製造中,正被干法刻蝕所替代。目前市場主流的刻蝕技術是干法刻蝕,佔比高達90%。

  刻蝕主要通過幾個參數來表徵,其中刻蝕速率是指在刻蝕過程中去除硅表面材料的速度,另一個刻蝕速率相關的概念是選擇比,用來表示同一刻蝕條件下一種材料與另一種材料相比刻蝕速率快多少,高選擇比意味著只刻蝕去掉想去除的那一層。刻蝕剖面指的是刻蝕圖形的側壁形狀,分為兩種:各向同性刻蝕剖面以及各向異性刻蝕剖面,各向異性的刻蝕剖面容易形成鑽蝕,從而形成刻蝕偏差。刻蝕均勻性是用來衡量刻蝕工藝均勻性的指標,非均勻性刻蝕會產生額外的過刻蝕,保持矽片的均勻性是保證製造性能一致的關鍵。而刻蝕殘留物是指在刻蝕后留在矽片表面不想要的材料,可以在去除光刻膠過程中用濕法刻蝕去掉。

  

  濕法刻蝕本質上是各向同性的,因而濕法刻蝕不能用於亞微米器件中的選擇性圖形刻蝕。一些干法等離子體系統也能進行各向同性刻蝕。對於亞微米尺寸的圖形來說,希望刻蝕剖面是各向異性的,即刻蝕只在垂直於矽片表面的方向進行,只有很少的橫向刻蝕。這種垂直的側壁使得在晶元上可以製作高密度的刻蝕圖形。先進集成電路應用上通常需要88到89度的側壁。各向異性刻蝕大部分是通過干法等離子體刻蝕來實現的。

  干法刻蝕系統中,刻蝕作用是通過化學作用或物理作用,或者是化學和物理的共同作用來實現的,這些不同的刻蝕方法擁有不同的優劣勢。其中物理和化學混合作用機理刻蝕能獲得好的線寬控制並有不錯的選擇比,因此被大多數干法刻蝕工藝採用。

  

  干法刻蝕三足鼎立,硅刻蝕難度最大

  干法刻蝕根據被刻蝕的材料類型來分類,分為三種:金屬刻蝕、介質刻蝕和硅刻蝕。

  介質刻蝕在絕緣材料中雕刻圖案以將半導體器件的導電部分分隔開。 對於先進的設備,這些結構可能具有較高的深寬比,並且涉及複雜、敏感的材料。 與刻蝕參數目標輕微的偏差(即使在原子尺度)也會對器件的質量產生負面影響。介質刻蝕一般要求刻蝕出比較高深寬比的缺口,並且要求下層材料有較高的選擇比。

  

  硅刻蝕用於需要去除硅的場合,淺溝槽隔離刻蝕(STI)、多晶硅柵極刻蝕(poly gate)是定義半導體器件尺寸的關鍵工序。由於技術的不斷推進,在傳統硅刻蝕的基礎上發展出了深硅刻蝕,它有別於VLSI 中的硅刻蝕,因此又稱為先進硅刻蝕(ASE) 工藝。它由於採用了感應耦合等離子體(ICP),所以與傳統的反應離子刻蝕(RIE)、電子迴旋共振(ECR)等刻蝕技術相比,具有更大的各向異性刻蝕速率比和更高的刻蝕速率,且系統結構簡單。與此同時,在VLSI工藝技術進入深亞微米階段之後,由於器件尺寸的不斷縮小,產生了一系列嚴重影響器件性能的小尺寸效應,為了克服這些小尺寸效應,有效的器件隔離結構至關重要。基於LOCOS的隔離技術已經不適應深亞微米工藝的要求,STI技術由於所具有的幾乎為零的場侵蝕,更好的平坦性和抗鎖定性能以及低的結電容,已成為深亞微米工藝的主流隔離技術。STI良好的隔離性是以集成一系列複雜的單步工藝來獲得的,工藝上的難點主要包括溝槽的刻蝕、介質的填充以及CMP的平坦化。

  

  

  金屬蝕刻工藝在連接形成集成電路(IC)的各個部件(例如,形成電線和電連接)中起關鍵作用。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  硅刻蝕作為晶體管層刻蝕方法,要求最高,難度最大。硅刻蝕是對底層晶體管的刻蝕,其對刻蝕形貌精度要求較高。因此其通常需要具備高選擇比、高各向異性、高控制精度。如選擇比方面,對於介質刻蝕,選擇比一般為20:1到50:1左右;對於金屬刻蝕,選擇比則更低;而對於硅刻蝕,由於多晶硅柵、淺槽隔離等尺寸極小,故精度要求極高,選擇比要達到150:1左右。同時小尺寸帶來深寬比增大,硅刻蝕在14nm以下的深寬比會達到約30:1及以上,刻蝕難度加大。就製程工藝方面,對於介質刻蝕和金屬刻蝕,28nm的刻蝕精度就可以處理14nm的集成電路,而硅刻蝕在14nm的集成電路製程下必須要求14nm的刻蝕精度。

  

  

  干法刻蝕技術不斷演進, ALE成未來之星

  具體到干法刻蝕,運用等離子體的刻蝕是目前主流的刻蝕手段,按照等離子體產生的方式可以分為ICP、CCP和TCP等。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  電容耦合(CCP)等離子體是通過匹配器和隔直電容把射頻電壓加到兩塊平行平板電機上進行放電而生成的,兩個電極和等離子體構成一個等效電容器。最早用於半導體刻蝕工藝的等離子體就是這種電容耦合射頻放電等離子體。不過在早期的等離子體刻蝕工藝中,都是採用單一射頻功率原來驅動並維持放電,這種單一CCP放電的缺點之一是不能對等離子體密度和轟擊到晶片上的粒子能量進行獨立控制,為了解決這個矛盾,近幾年人們提出了雙頻(或多頻)電源驅動CCP放電,其a中一個是高頻電源,一個是低頻電源。原則上講,採用這種雙頻驅動放電技術可以實現等離子體參數和離子能量分佈的獨立控制。前提是高頻電源的頻率足夠高,否則當兩個電源頻率比較接近時,將會存在很強的非線性相互作用,這將影響等離子體密度的均勻性。這是雙頻放電等離子體刻蝕工藝中一個急需解決的問題。

  

  電感耦合等離子體可以通過電流線圈纏繞充滿氣體的石英玻璃管進行放點來產生。有兩種不同方式的電感耦合放電:一種是把射頻線圈纏繞在柱狀放電室的側面,而另一種是把線圈放置在放電室頂部。在20世紀90年代,人們發現這種電感耦合放電可以在很低的工作氣壓下進行,而且可以產生高密度的等離子體,特別是不需要引入外磁場來增強這种放電。正是由於這些優點,近年來這種等離子體已經廣泛的應用於硅半導體的刻蝕工藝中。電感耦合等離子體具有反常趨膚效應、無碰撞加熱、負功率吸收、高次諧波電流等特點。

  

  運用等離子體的干法刻蝕刻蝕按照腐蝕原理可以分為等離子體刻蝕(主要是化學反應過程),反應離子刻蝕(物理化學反映過程)(RIE),離子束銑(Ion Milling),聚焦離子束刻蝕等(FIB)。其中反應離子刻蝕(RIE)是目前業界重點發展的方向,廣泛應用在國外龍頭如拉姆研究,東京電子,國內中微半導體和北方華創也採用了反應離子刻蝕。反應離子刻蝕(RIE)是利用高頻電場下氣體輝光放電產生的離子轟擊的物理效應和活性粒子的化學效應相結合來實現加工目的的一種技術。一般來說具有較高的刻蝕速率,良好的方向性和選擇性,能刻蝕精細結構的圖形。

  

  高刻蝕速度和高均勻性一直是微細加工技術發展的主要內容,隨著基片尺寸不斷增大,器件結構尺寸不斷縮小,這些要求就更為突出。新的等離子體產生方式是近年來干法刻蝕技術發展的重點。電子迴旋共振(ECR)技術已得到普遍應用。它是1984年前後日本日立公司最早研究的,在較長時間裡得不到重視,只在日本發展。直到八十年代末,美英法德的少數公司才開始開發,近年來發展很快。曾有人認為ECR技術是今後的發展主流,但沒過多久,美國Lam公司與IGM公司合作發展了線圈耦合等離子體(TCP)技術,最近又出現螺線式、螺線共振式、空心陽極式和共振感應式等新型等離子體結構。這些新開拓的技術共同特點是能夠產生高密度等離子體,以提高刻蝕速率和刻蝕均勻性。就目前來看,我國兩大刻蝕設備供應商都相繼推出了自己的ICP刻蝕設備,並且在不斷發展更加先進的等離子體產生技術。中微半導體今年推出的Primo nanova和北方華創硅刻蝕設備NMC612D都採用了ICP技術。

  摩爾定律的繼續發展已經不僅僅指簡單的微縮,無論是從2D向3D轉變或是其他方式,產業界始終都有方法讓晶元的密度和性能繼續提高,而能耗和成本持續降低,反應離子刻蝕雖然快速可靠,但是隨著技術的不斷演進仍然存在三個挑戰。首先,隨著結構尺寸的不斷縮小,人們需要儘可能降低由各種原因導致的工藝誤差。其次,刻蝕工藝需要在去除目標材料的同時,完好保留下一層材料。第三,當達到期望的深度之後,等離子體中的高能離子可能會導致矽片表面粗糙或底層損傷,這是需要激勵避免的問題。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  原子層刻蝕(ALE)是一種能夠精密控制被去除的材料量的先進技術。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  ALE分為兩大類,等離子體ALE和高溫ALE,也有試圖結合兩種技術的高溫等離子體ALE技術。這兩類ALE技術分別適用於不同類型的刻蝕,儘管在某些相同過程中它們是一起使用的,等離子體ALE使用高能離子或中性物質從表面上剔除物質的方法來進行刻蝕;而高溫ALE應用於特定的高溫氣相反應。長時間以來,等離子體ALE一直在生產中應用。通常是等離子體ALE進行各向異性或定向蝕刻,相反的,高溫ALE執行各向同性或單向蝕刻。混合技術仍然在研發當中,等離子體ALE已經應用於生產中,而高溫ALE仍處於早期階段,必須在更廣泛的材料上進行實驗。目前刻蝕設備國際龍頭拉姆研究和東京電子相繼推出了基於ALE技術的刻蝕設備,而國內企業北方華創也於近日公開了名為《原子層刻蝕裝置及採用其的原子層刻蝕方法》發明專利文獻,可見相關研究已經展開,產品發布指日可待。

  受益建廠潮,多重因素共同推動市場需求

  設備投資佔比巨大,刻蝕設備是重要一環

  隨著半導體製程邁入10nm以內,建設晶圓生產線的成本迅速提升,一條90nm製程的晶圓生產線的成本是20億美元,20nm的成本是67億美元而未來5nm生產線的成本將高達160億美元,在這快速增加的成本背後,半導體設備是產線投資的主要投入項。半導體設備不僅種類繁多,而且為了在小製程下實現高精度操作,對設備具有極高的技術要求。技術的複雜性導致半導體設備具有極高的技術壁壘,價格昂貴,在90nm產線中設備支出佔到70%,在20nm製程中佔到85%,從14億美元提高到了57億美元。

  

  晶圓製造設備占設備投資比例的80%,是佔比最大的一類半導體設備。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  晶圓製造設備巨大的價值又以光刻機、刻蝕機以及薄膜沉積設備為主,這三種設備合計能佔到設備投資額的50%-70%左右。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  受益建廠潮,國內刻蝕設備需求大,國產化率有待提

  從過往產業轉移過程來看,半導體全球級霸主的產生往往伴隨著新應用新市場的快速崛起和國家財政的大力支持。目前我國半導體產業正處於新一代智能手機、物聯網、人工智慧、5G等行業崛起的過程中,應用市場需求龐大;同時政府以多項文件、專項計劃大力支持,又通過大基金進行資本投入,使得我國兼具著產業轉移的兩大歷史條件,有望成為第三次產業轉移的最大受益者。

  

  伴隨著第三次產業轉移,近年來,大陸半導體產業迎來大規模建廠潮,而伴隨著國內產業投資量的迅猛增長,相關廠商的設備需求也大大增加。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  接下來對於國內刻蝕機市場規模進行一個測算,首先統計正在興建的產線情況。除了已經量產的9條12英寸產線外,從2014下半年至2017上半年,中國大陸正在興建或宣布計劃興建的12英寸晶圓生產線共有23條(包括擴產升級的產線),大大超越了已有數量,這在史上也是絕無僅有的集建設時期。

  

  受益建廠潮,國內產線建設拉動20億美元刻蝕設備需求。分別用兩種方法估計刻蝕設備的市場規模:

  

8181

主題

1萬

帖子

2萬

積分

貝殼光明大使

Rank: 6Rank: 6

積分
26631
沙發
 樓主| 小康人家 發表於 2018-10-8 02:14 | 只看該作者
(1)根據之前的估計,對於不同製程,設備投資占產線投資比例不同:70%—90/65nm、75%—45nm、80%—32nm、85%—20nm,並且假設刻蝕設備占設備投資的15%,那麼根據之前的數據可以得到國內在建產線所需刻蝕設備的市場規模大約為128.48億美元。

  (2)另一種方法是根據產線來估計規模,假設一條典型生產線的產能是3.5萬,根據目前的市場情況假設一條產線需要介質刻蝕機20台、硅刻蝕機15台、金屬刻蝕機10台,硅刻蝕機和介質刻蝕機價格都是400萬美元,金屬刻蝕機一台單價700萬美元,那麼一條典型的生產線的刻蝕設備價值是1.175億美元,根據在建產能計算共有93.6億美元。

  對兩種方法設置權重,對第一種方法設置70%的權重,對第二種設置30%的權重,加權平均之後可以得到現有在建計劃預計拉動118.16億美元的市場需求,按照國內現在國產化率11.41%,對未來進行測算,假設未來國產化率會隨著國內設備企業的不斷成長而不斷提高,平均可以達到17%的水平,那麼在建生產工廠預計會提供給國內刻蝕設備廠商20.08億美元的設備需求。

  刻蝕設備國產化率極低,潛在空間巨大。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  多種因素共同推動,刻蝕設備需求高企

  刻蝕設備市場體量巨大、增速可觀。據 Factor Equilibrium 預測,2016 年全球刻蝕設備市場規模為 78 億美元,2017-2025年市場銷售額年複合增長率為6.8%,銷售量年複合增長率為8.5%,而Gartner則預計2017- 2019年刻蝕設備全球銷售額年複合增長率為6.7%。未來受益於晶元製造工藝製程不斷微縮、新結構和新材料的不斷湧現,刻蝕設備增量可期。

  製造工藝日益複雜提升刻蝕難度,拉動刻蝕需求

  隨著製程不斷推進和設計結構的革新,晶元製造工藝不斷發展,一方面刻蝕難度的加大,推動了刻蝕設備的迭代;另一方面刻蝕步驟增加,拉動了刻蝕設備的需求量。

  (篇幅所限,內容有刪減,詳見原文報告)

  (1)Multiple Patterning技術

  Multiple Patterning技術廣泛應用於10nm製程時代,主要可分為Pitch Split技術和SAMP技術。集成電路微縮化不斷發展,晶元製程不斷提升,「光刻—刻蝕」的精密程度決定著集成電路的工藝節點。隨著製程進入10nm級別,單步「光刻—刻蝕」已無法滿足需求,Multiple Patterning技術可以提高圖形密度,目前廣泛應用於工業。Multiple Patterning技術主要可分為Pitch Split技術和SAMP技術。

  

  Pitch Split技術,增加了刻蝕次數,拉動刻蝕設備的需求。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  

  Self-aligned Multiple Patterning(SAMP)是目前10nm級製程領域極具競爭力的方案。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  

  SAMP技術增加了刻蝕次數和刻蝕難度,推動了刻蝕設備的發展。一方面,由於SAMP過程涉及多層掩模的刻蝕,因此需要匹配多次刻蝕,增加了刻蝕次數。另一方面,該技術的主要難度在於:(1)選擇比問題:重複次數增加的SAMP技術會需要更多層側牆和掩模,使得刻蝕的工藝越加複雜,對於不同層如形成預圖形的物質、間隔物、下層材料等,刻蝕選擇比也具有更高的要求,從而保證圖形轉移的準確性。(2)側牆形貌的控制:側牆形貌是圖形轉移的關鍵掩模,刻蝕難度也隨側牆層數的增多而增多。一般採用各向異性刻蝕法獲得側牆,后美國曾申請專利採用側向同性刻蝕法以獲得更理想的側牆形貌,同時也對刻蝕工藝提出了更高的要求。因此SAMP技術使得刻蝕步驟增多且難度加大,拉動了刻蝕設備的更新換代。

  

  (2)基於金屬硬掩模的雙大馬士革工藝

  「雙大馬士革工藝」的用途是製造高密度的金屬互連線。基於集成電路製程不斷提升,金屬連線密度不斷增大,由此帶來的電阻和寄生電容不可忽視,推動集成電路製造從金屬鋁互連發展為由鑲嵌工藝實現的金屬銅互連,同時低k值材料代替了二氧化硅成為金屬層間的絕緣介質。這就是「雙大馬士革工藝」。其一般步驟為:先挖出柱狀通孔,接著在金屬層間的絕緣層中挖溝槽,然後在孔和槽中同時填入銅,再通過化學機械拋光工藝將銅磨平,形成金屬互連線。

  

  基於金屬硬質掩模一體化刻蝕的雙大馬士革工藝最為先進,其增大了刻蝕難度,拉動了金屬刻蝕設備的發展。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  (3)淺槽隔離技術(Shallow Trench Isolation;STI)

  淺槽隔離(STI)技術廣泛用於有源區域之間的絕緣結構的製作,其比LOCOS工藝擁有較佳的隔離特性。STI結構的形成通常是先在半導體基底上沉積一層氮化硅層,然後圖案化此氮化硅層形成硬掩膜,再蝕刻基底,在相鄰的元件之間形成陡峭的溝渠;最後,在溝渠中填入氧化物形成元件隔離結構。

  

  STI技術增大了刻蝕的難度,推動了刻蝕設備的發展。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  (4)高深寬比刻蝕和高選擇比刻蝕

  隨著集成電路製程的縮小,製造工藝日益複雜,高深寬比、高選擇比、高均一性刻蝕日益重要。由於製程的縮小,在深度不變的情況的寬度愈來愈小,導致了更高的深寬比。高深寬比結構(HAR)的刻蝕工藝極易產生側向彎曲、頂部CD和底部CD的變化、頸縮、傾斜及圖形扭曲等問題。因此其刻蝕過程包含多個刻蝕步驟來滿足剖面形貌、CD、刻蝕選擇比、均勻度及工藝重複性要求,刻蝕難度加大,推動了刻蝕設備的更新換代。

  

  隨著製造工藝日益複雜,刻蝕對象種類增多,高選擇比刻蝕日益重要。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  新結構推動製造工藝發展,打開刻蝕設備市場

  電子行業歷來有著從下游看上游的邏輯,上下游聯動效應顯著。刻蝕設備下游應用領域主要包括邏輯、存儲、MEMS、 功率器件、RFID、CMOS 圖像感測器等,其中邏輯和存儲應用市場佔比最高(約65%)且仍呈現上升趨勢。製程的不斷推進以及設計結構的日益複雜是推動刻蝕設備發展的核心邏輯。DRAM小型化,增加多重圖案刻蝕重複次數、HARC(High Aspect Ratio Contact)刻蝕需求;3D NAND 堆疊層數不斷增多,帶動 HARC市場增長;Logic/Foundry小型化,同時其結構日益複雜,增加多重圖案重複次數和互連過程。下游的強勁增長,將催動上游刻蝕設備的需求。

  

  (1)DRAM拉動Multiple Patterning和HAR市場

  為提高存儲性能和密度,DRAM製程不斷縮小。DRAM的基本單元是一個晶體管加一個電容,並用電容有無電荷來表示數字信息0和1。為提升存儲速度、降低成本和功耗,DRAM逐漸微型化,2014年,20 nm/1x nm製程佔據市場份額不到10%,2017年,其佔比已達到約75%。2018年,1y nm製程將開始湧現,並成為趨勢。DRAM製程不斷微縮,推動了其結構設計的更新和器件尺寸的減小,增大了製造工藝的難度。

  

  DRAM電路圖形密度增大,Multiple Patterning重複次數增加,增大刻蝕設備需求。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  

  DRAM儲存電容的深寬比隨製程微縮幾乎呈指數增加,拉動了HAR結構的刻蝕需求。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  (2)3D NAND拉動HAR市場

  3D NAND市場佔比逐漸增大,層數逐漸增多。2D NAND是按照傳統二維平面模式對快閃記憶體顆粒進行排列。隨著NAND存儲器在物聯網和行動裝置中的普及,輕薄、低功耗的需求日益提高,另外隨著晶圓物理極限的不斷逼近,固態硬碟上單體的存儲單元內部能夠裝載的快閃記憶體顆粒接近極限,2D NAND已難以滿足要求,3D NAND技術應運而生,該技術是在二維平面基礎上,在垂直方向也進行快閃記憶體顆粒排列,從而對原本的平面堆疊方式進行了創新。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  

  沉積和刻蝕工藝定義3D NAND,拉動HAR結構刻蝕,增加刻蝕步驟,為刻蝕設備需求提供強大支撐。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  

  (3)Foundry/Logic拉動Multiple Patterning和 Intercontact process市場

  邏輯電路製程不斷微縮,晶體管層和互連層雙輪驅動刻蝕設備增長。2014年,大於20nm支撐佔據市場;隨後14/16nm技術出現;到2016年,製程步入10nm級別;2017年,7nm出現,未來即將進入5/3nm製程。對於底部晶體管製造,平面結構製程工藝逐漸達到極限,難以有所突破,3D結構FinFET逐漸取代平面結構成為主流,增加刻蝕步驟和難度;對於上部互連層製造,隨著電路密度加大,互連結構日益複雜,增加了刻蝕步驟;同時複雜的互連層帶來的損耗逐漸增多,互連材料和設計趨於變革,為刻蝕設備帶來新機會。

  

  對於晶體管層,新結構FinFET成為主流。MOSFET是目前半導體產業最常使用的一種場效電晶體(FET),在2D構造MOSFET中,「閘極長度」大約10nm,是左右構造中最細小也最難製作的,因此我們常常以閘極長度來代表半導體製程的進步程度,這就是所謂的「製程線寬」。但是對於MOSFET而言,當閘極長度縮小到20nm以下時,會產生「短溝道效應」,源極和汲極的距離過近,閘極下方的氧化層愈來愈薄,電子可能發生「漏電」現象。同時原本電子是否能從源極流到汲極是由閘極電壓來控制的,當閘極長度越小時,閘極對通道的影響力越小。平面結構很難再通過縮小製程來實現性能提升,由此,3D的FinFET技術誕生。FinFET,稱為鰭式場效應晶體管,在該結構中,閘極設計成類似魚鰭的叉狀3D結構。其相對於平面結構具有眾多優勢:(1)更好的溝道控制能力;(2)更低的漏電流;(3)更低的閾值電壓;(4)大幅縮減閘長。自2013年,FinFET技術開始成為市場主流。

  

  FinFET結構增大刻蝕難度和刻蝕步驟,對刻蝕設備提出了更高的要求。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  

  對於上部互連層,日益複雜的互連層,帶來刻蝕設備需求。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  海外龍頭「自研+併購」優勢明顯,平台型企業全設備布局

  泛林:持續研發成就刻蝕龍頭,積極外延佔比不斷提升

  泛林(Lam Research)是僅次於應用材料、全球排名第二的半導體設備供應商。泛林集團成立於1980年,其主要業務包括薄膜沉積、刻蝕、去膠和清洗以及質量監控系統五大部分,是世界領先的半導體設備提供商,是刻蝕機領域的技術先驅和市場領導者。

  

  泛林市場份額增長迅速,盈利能力強。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  

  

  公司刻蝕設備主要有五種類型:(1)Conductors Etch;(2)Dielectric Etch(介質刻蝕);(3)Metal Etch(金屬刻蝕);(4)TSV刻蝕;(5)MEMS/Deep Si Etch。

  一是Conductors刻蝕,主要有Kiyo產品系列。Kiyo產品系列通過原位蝕刻能力、連續等離子和先進的無晶圓自動清洗技術實現了高生產率和多薄膜疊層的低缺陷率。為了解決圖案化中的技術變化問題,Kiyo系列採用Hydra?技術提供最先進的功能,該技術可為NVM,DRAM和邏輯器件中的FEOL / BEOL工藝模塊提供良好的晶圓內均勻性。此外,Kiyo系統可配置為執行原子層蝕刻,應用包括FinFET柵極,STI等。

  二是介質刻蝕。主要有Flex產品系列,多頻率、小體積、受限制的等離子體設計實現了均勻性、可重複性和可調性, 該系統通過原位多步刻蝕和連續等離子體功能實現了高生產率和低缺陷率,應用包括用於DRAM電容單元的高縱橫比應用等。

  三是金屬刻蝕。Versys Metal產品系列為BEOL金屬蝕刻工藝提供了一個靈活的平台。 對稱腔室設計和獨立調諧功能為金屬硬掩模應用提供均勻性控制,該產品專有的腔室清潔技術確保BEOL加工的高可用性,高產量和出色的工藝重複性。

  四是TSV刻蝕。主要為Syndion產品系列,該系列為TSV和CIS蝕刻應用提供低風險、靈活的解決方案。Syndion產品由於高蝕刻速率、出色的重複性以及TSV疊層(硅、電介質、導電膜)中的多種材料的原位蝕刻而提供了較低的成本。 該系統支持傳統的單步刻蝕和快速交替工藝(「RAP」), 高工藝靈活性、卓越的剖面控制和出色的均勻性能夠成功實現各種互補金屬氧化物半導體和圖像感測器應用的TSV。

  五是MEMS/Deep Si Etch。主要為DSiE 產品系列。該系列產品為深硅刻蝕提供了解決方案。廣泛應用於MEMS的溝槽、通孔的深硅刻蝕,有源器件的硅槽刻蝕以及晶圓級封裝的TSV刻蝕。

  

  公司在等離子體刻蝕領域市場份額第一。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  泛林重視研發技術領先,在多數細分領域均為龍頭地位,推動行業發展。如在刻蝕領域,泛林介質刻蝕位列全球第二,導體刻蝕則排名第一;在沉積領域,其鎢沉積和銅沉積均為全球第一,氧化物沉積則排名第二;在清洗領域第三,在更細分的斜角清洗領域則位列全球第一。公司的領導力主要體現在四個方面。一是垂直縮放(Vertical Scaling),包括高縱橫比刻蝕技術、高生產率平台、壓力控制、選擇性刻蝕等;二是多重圖案化(Multiple Patterning),包括墊片沉積、EUV吸收層、選擇性沉積、先進位程式控制制等;三是原子量級加工(Atomic-Scale Processing),包括3D NAND ALD鎢字線、晶體管間隔物沉積、自動校準ALE等;四是新材料(New Material)。公司對刻蝕、沉積、清洗等設備進行持續而大量的研發投資,研發支出穩定增長,2017年達到10.3億美元,占營收比重始終保持12%以上。

  

  公司積極外延併購,打造平台型企業。

  
回復 支持 反對

使用道具 舉報

8181

主題

1萬

帖子

2萬

積分

貝殼光明大使

Rank: 6Rank: 6

積分
26631
3
 樓主| 小康人家 發表於 2018-10-8 02:14 | 只看該作者
(篇幅所限,內容有刪減,詳見原文報告)

  

  

  平台型企業多元化業務,技術互補產品協同,促進解決方案升級,搶佔市場份額。

  (篇幅所限,內容有刪減,詳見原文報告)

  應用材料:刻蝕業務快速增長,多樣化併購開疆擴土

  AMAT(應用材料)公司是全球最大的半導體設備供應商,其規模最大,設備種類最多,是平台型公司的最典型代表。公司創建於1967年,業務主要由半導體產品事業部、全球服務產品事業部、顯示與臨近市場事業部和集團及其他產品事業部組成。2017年,半導體產品事業部占公司總營收的65%,是公司最主要的收入來源。公司半導體設備產品種類繁多,覆蓋原子層沉積、化學氣相沉積、物理氣相沉積、電氣化學沉積、外延工藝、刻蝕、離子注入、測量與檢測、快速熱處理等,幾乎包攬光刻機以外的半導體製造各環節所需設備,是三星電子、台積電、美光、英特爾等巨頭的設備供應商。公司已連續15年位列半導體設備領域第一名,是全球半導體設備供應商龍頭,行業地位穩固。

  

  公司刻蝕設備產品豐富,增長迅速。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  

  回顧AMAT的發展歷程,首先,公司積極進行多樣化併購,拓展產品線,打造平台型企業。公司目前幾乎包攬光刻機以外的半導體製造各環節所需設備,是半導體製造設備的綜合平台。要保證廣泛的產品覆蓋度,公司不得不面臨技術研發投入大、研發周期長、失敗風險高、技術更新迭代迅速的問題,而多樣化的外延併購可以加快公司技術更新速度,適應市場需求,並降低內部研發失敗的風險。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  

  其次,公司順應產業轉移趨勢,積極進行全球布局,擴大市場。上世紀70年代,美國對日本進行以家電行業為主導的裝配產業轉移,這是歷史上第一次半導體產業轉移。基於此,1979和1984年,AMAT的日本子公司和技術研發中心相繼設立。1979至1983年期間,公司在日本地區銷售額年均複合增長率達93%,1983年日本銷售額占公司總銷售額比例達到30%。上世紀90年代,日本經濟泡沫破裂,出現了從日本到韓國和台灣的第二次半導體產業轉移,韓國和台灣藉此機會確立了在PC和手機端的晶元霸主地位,AMAT於1985和1989年分別設立韓國辦事處和台灣辦事處。此外,公司於1984年開始進入中國市場,成為第一個在中國內地設立客服中心的半導體設備商,於1991年建立新加披辦事處,在歐洲的蘇格蘭、德國也設立了營業部。廣闊的全球市場使公司營業額不斷實現突破。

  最後,AMAT始終注重內部技術研發。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  標的推薦:國內廠商奮起直追,推薦關注北方華創、中微半導體

  國內公司服務優勢明顯,技術緊跟步伐,有望實現彎道超車。(1)服務上,隨著製造工藝要求不斷提高,刻蝕設備日益複雜,設備相關服務日益重要。國內公司相比於國外公司,具有地理優勢,能夠為國內客戶提供更加高效、快速響應的售前、售中及售後服務。國內公司有望以服務為優勢,逐漸搶佔市場份額,加速進口替代。(2)技術上,國內公司奮力趕超,在先進位造工藝上已與國外公司站在同樣起跑線上。中微半導體在介質刻蝕領域一騎絕塵,7nm製程已實現量產,並成功進入台積電產線;5nm製程正在研發,有望在該領域彎道超車;電容型介質刻蝕設備已進入全球前三。同時其已切入TSV硅通孔刻蝕設備和金屬硬掩膜刻蝕設備。其8英寸和12英寸設備國內市佔率超過50%。北方華創重點布局金屬刻蝕和硅刻蝕領域。其中硅刻蝕機已突破14nm技術;金屬硬掩膜刻蝕機攻破28-14nm製程。同時其介質刻蝕中側牆刻蝕已成為公司刻蝕設備強項。(3)發展策略上,公司均向平台型企業邁進,通過合理併購開拓業務,升級解決方案,搶佔市場份額。

  

  北方華創(002371.SZ):深耕硅刻蝕,切入金屬掩模刻蝕

  半導體裝備是公司最主要業務。2015年10月,七星電子(002371)和北方微電子戰略重組,2017年1月公司更名為「北方華創」,成為中國唯一集成電路前道工藝裝備上市公司。重組完成後,公司擁有半導體裝備、真空裝備、新能源鋰電設備及精密元器件四個事業群,是國內集成電路高端工藝裝備的龍頭。其中半導體裝備為公司最主要業務。設備種類齊全,包括7大系列產品,覆蓋8大應用領域,囊括晶圓製造過程中的薄膜生長和刻蝕環節以及輔助設備,包括七星電子的清洗機與氧化爐、北方微電子的刻蝕、物理氣相沉積和化學氣相沉積三大類設備,以及單片退火設備和退火爐。北方華創產品目前已實現LED、MEMS、光伏、先進封裝等領域核心裝備的國產化替代,累計超過3100台/套。

  

  公司產品市場地位領先,下游應用領域廣泛,客戶質量高。公司產品廣泛應用於LED、MEMS、光伏、先進封裝等領域。在過去的5年中,LED、光伏、先進封裝等領域公司設備市佔率穩居第一。公司的14nm製程等離子刻蝕機、ALD等設備已成功進入集成電路主流代工廠;應用於55nm的硅刻蝕機被國內領軍集成電路晶元製造企業指定為Baseline機台,28nmPVD和8英寸高密度等離子硅刻蝕機已進入中芯國際生產線;公司的深硅刻蝕設備成功挺進東南亞市場。

  

  

  公司在刻蝕設備領域主攻硅刻蝕和金屬刻蝕,其中硅刻蝕機已突破14nm技術。2005年8月28日,北方微電子公司自主研發的8英寸高密度等離子體硅刻蝕機正式交付中芯國際(天津)集成電路製造有限公司,開始在生產線上進行安裝和調試,這是中國第一台自主研發乾法刻蝕機在中芯國際上線,是中國在半導體設備研發領域的一個新的里程碑。目前,公司等離子刻蝕設備產品線豐富,在集成電路、半導體照明、微機電系統、先進封裝、功率半導體等領域可提供高端裝備及工藝解決方案,形成了對硅、介質、化合物半導體、金屬等多種材料的刻蝕能力。2016年,公司在LED刻蝕設備市場佔有率達到83%。公司先進的硅刻蝕機,採用STI技術,已突破14nm技術。公司有望率先突破14nm刻蝕領域。

  

  NMC612D刻蝕機是北方華創自主研發的國內首台12英寸14納米FinFET等離子硅刻蝕機,已正式進入上海集成電路研發中心。自半導體製程進入14nm,採用FinFET 3D結構工藝已成為主流技術。14納米FinFET相比於傳統的平面型晶體管,引入了截然不同的工藝流程,這對刻蝕設備提出更高的挑戰。NMC612D硅刻蝕機滿足了14nm FinFET的各項工藝要求。設備採用了新開發的同步脈衝等離子技術,通過對等離子體的實時控制和診斷來實現低損傷和高選擇比,採用多區ESC以獲得更高的CD均勻性,增加高溫上電極的設計來降低缺陷,增大Throughput。

  NMC612D硅刻蝕機多項關鍵指標達到國際先進水平,滿足28/14納米多種硅刻蝕工藝製程要求,同時具備10/7納米工藝延伸能力。目前,該產品正式進入上海集成電路研發中心,與客戶共同開展研發工作。

  

  

  NMC612M 12英寸氮化鈦金屬硬掩膜刻蝕機攻破28-14nm製程。隨著器件尺寸的不斷縮小,溝槽的深寬比越來越大,對硬掩膜材料提出了更高的要求。傳統的雙大馬士革工藝所採用的氮化硅或氧化層掩膜,由於和低k介電層之間的選擇比不高,已經無法滿足在溝槽刻蝕的同時保護溝槽之間低k介質的要求。基於TiN金屬硬掩膜雙大馬士革工藝則應運而生。NMC612M氮化鈦金屬硬掩膜刻蝕機則是滿足TiN硬掩膜刻蝕要求的新一代金屬刻蝕機。該產品主要有三個亮點:(1)採用脈衝等離子技術和多區ESC技術。提供同步脈衝雙射頻等離子源,有效改善負載效應和等離子體損傷,在刻蝕形貌控制、均勻性控、減少刻蝕損傷、刻蝕選擇比提高,可滿足刻蝕工藝的更高要求。(2)設備應用多介面平台技術,滿足客戶不同的腔室數量要求。(3)採取先進的表面處理和陶瓷鍍層技術,有利於減少缺陷和顆粒的產生。該產品已攻破28-14nm製程。

  

  公司注重內部研發,研發佔比遠高於國外龍頭。

  (篇幅所限,內容有刪減,詳見原文報告)

  

  

  公司秉持平台型企業發展戰略,合理外延擴張,強化產品性能,拓展產品線。公司業務布局廣泛,目前擁有半導體裝備、真空裝備、新能源鋰電設備及精密元器件四個事業群,其中半導體裝備包括7大系列產品,囊括晶圓製造過程中的薄膜生長和刻蝕環節以及輔助設備,產品線豐富。2017年8月,公司全資子公司「北方華創微電子」擬以在美國設立子公司的方式以1,500萬美元收購美國Akrion Systems LLC公司,雙方於2018年1月完成交割。Akrion專註於半導體硅晶圓清洗設備,產品下游應用領域與公司基本重合,本次收購后公司的清洗機業務部將擁有單片與批式清洗兩大產品線,產品結構更加完善,公司技術與客戶積累也得到強化,進一步擴大清洗機市場。

  中微半導體(暫未上市):介質刻蝕龍頭,逐漸打入硅通孔刻蝕

  

  中微半導體公司暫未上市,但作為國內龍頭刻蝕公司簡介如下供投資者參考。

  中微半導體在晶元介質刻蝕設備、硅通孔刻蝕設備、MOCVD設備三大細分領域均成為世界三強。中微半導體(AMEC)成立於2004年,是國內領先的高端晶元設備企業,也是大基金一期首個投資企業。公司專註於刻蝕和化學薄膜沉積類型設備的生產,其產品能夠最大限度利用擁有的產品資源,如主機、軟體系統等,大大縮短產品開發周期和減少產品發開成本。其介質刻蝕設備、硅通孔刻蝕設備、MOCVD設備廣泛應用於國際市場和國內市場,均位列世界三強。VOC設備客戶已驗證合格並實現重複訂貨,有限推廣到LCD產業。硅刻蝕設備公婆28-10nm製程,正在進行客戶驗證階段。2014年中微公司一家獨佔全國半導體設備出口額的79%。

  

  公司已成功進入海內外領先客戶供應鏈。目前,公司晶元介質刻蝕設備已在台積電7nm、10nm產線工作,並與其聯合進行5nm認證,同時佔據中芯國際50%以上新增採購額。硅通孔刻蝕設備在我國TSV/CIS/MEMS刻蝕機市場市佔率超過50%,MEMS刻蝕機已進入德國博世和意法半導體。MOCVD設備方面,公司的第二代Primo A7 MOCVD設備在國內市場已全面取代德國Aixtron和美國Veeco,獲得80%市場份額。公司設備已經進入了全球大半排名前列的晶圓廠。

  

  公司深耕介質刻蝕設備,7nm製程已實現量產,5nm製程正在研發;電容型介質刻蝕設備已進入全球前三。截至目前公司在介質刻蝕設備已經實現三代產品迭代,分別是Primo D-RIE、Primo AD-RIE、Primo SSC AD-RIE,以及一款與除膠機集成的Primo iDEA設備。公司介質刻蝕設備已進入16-7nm製程量產,在10nm和7nm的研發線核准數道BARK刻蝕應用,並成為標配設備,已經開始5nm器件的刻蝕開發。目前介質刻蝕設備共進入25條晶元生產線,生產4300多萬片晶圓,其中在台積電擁有232個反應台,累計生產晶圓超過2400萬片,包括台積電7nm、10nm量產線。此外,中微的電容型介質刻蝕設備已進入全球市場前三,僅次於東京電子和泛林。

  

  

  

  TSV硅通孔刻蝕設備方面,8英寸和12英寸設備國內市佔率超過50%。公司目前擁有兩款TSV硅通孔設備和MEMS刻蝕設備,分別為:Primo TSV200E和Primo TSV300E。兩款產品廣泛應用於8寸和12寸製作工藝,具有以下創新點:(1)配備高效冷卻系統的5kW功率射頻電感耦合等離子體源功率電源,可以提高工藝調整能力。(2)擁有自主知識產權的氣體分佈系統。(3)尺寸可變的氣體集聚還可以優化刻蝕均勻性。硅通孔刻蝕設備應用廣泛,中微在3年內已運出100個反應台,在MEMS和CIS加工超過340萬片晶圓。在TSV/MEMS/CIS刻蝕機市場已佔有超過50%市場。中微自主設計的MEMS刻蝕機達到國際最先進水平,與歐美同類型設備相比具有良率高、輸出量大、成本低的優勢,已成功進入國際最領先的德國博世和意法半導體。中微的TSV硅通孔刻蝕機在8英寸和12英寸設備國內市場佔有率超過50%,是業界唯一的雙台機,無論技術性能、產率和成本均優於美國科林和英國SPTS,市場份額呈現進一步上升趨勢。

  

  

  公司技術水平領先,研發實力強勁。公司擁有尹志堯等100多位來自美國矽谷、日本、韓國、東南亞及台灣地區的行業專家,曾領導或參與20多個國際先進半導體設備的開發及市場化。研發人員占公司員工總數近三分之一。公司30年來一直致力於推動刻蝕技術和設備的發展,在32項關鍵的等離子體刻蝕技術和設備創新突破中,由中微成員或中微首創的有19項,佔比達到60%。

  

  公司布局MOCVD業務,逐漸積累平台型企業優勢。除了刻蝕業務,公司另一主營業務為MOCVD設備業務。MOCVD設備,是指金屬有機化合物氣相沉積設備,是加工LED晶元的核心設備。過去,該領域主要由美國Veeco和德國Aixtron兩家公司把控市場。目前公司已經完全打敗國外競爭對手。公司第二代Primo A7 MOCVD 設備,已在國內全面取代德國Aixtron和美國 Veeco的設備成為2017年三安、華燦、聚燦等主要LED晶元廠的唯一供應商。目前該設備已有100餘台進入產線。沉積設備與刻蝕設備皆為半導體製造的關鍵步驟設備,打通兩項業務有利於公司提供更加完善的解決方案,發揮設備參數設定、功能設計等方面的協同效應,增強產品競爭力,拉動業務增長。

回復 支持 反對

使用道具 舉報

您需要登錄后才可以回帖 登錄 | 註冊

本版積分規則

關於本站 | 隱私權政策 | 免責條款 | 版權聲明 | 聯絡我們

Copyright © 2001-2013 海外華人中文門戶:倍可親 (http://big5.backchina.com) All Rights Reserved.

程序系統基於 Discuz! X3.1 商業版 優化 Discuz! © 2001-2013 Comsenz Inc.

本站時間採用京港台時間 GMT+8, 2024-4-28 13:32

快速回復 返回頂部 返回列表